Вид документа : Однотомное издание
Шифр издания : 69399
Автор(ы) : Червяков Н. И., Червяков Н. И., Галушкин А. И., Бабенко М. Г., Кучуков В. А.
Заглавие : Математические модели и методы синтеза в сверхбольших интегральных схемах : Лабораторный практикум
Выходные данные : Ставрополь: Северо-Кавказский федеральный университет, 2016
Колич.характеристики :187 с
Примечания : Книга находится в Премиум-версии ЭБС IPRbooks.
Цена : Б.ц.
УДК : 62
ББК : 32.97
Ключевые слова (''Своб.индексиров.''): математика--модель--схема--цифровое устройство--электроника
Аннотация: Пособие подготовлено в соответствии с ФГОС ВО; состоит из теоретического блока, содержащего основы языка VHDL и описание среды проектирования цифровых устройств ISE, лабораторных работ, связанных с разработкой цифровых устройств и их тестированием, и итогового задания, посвященного проектированию вычислительного устройства в системе остаточных классов. Предназначено для бакалавров, обучающихся по направлению «Прикладная математика и информатика»; может быть полезно для магистров направления «Прикладная математика и информатика», аспирантов направления «Информатика и вычислительная техника», а также научных работников, занимающихся проектированием современных вычислительных систем.

Доп.точки доступа:
Галушкин, А. И.; Бабенко, М. Г.; Кучуков, В. А.